>>> Building on exopi-5 under lang/verilator BDEPENDS = [devel/bison;devel/gmake] DIST = [lang/verilator:verilator-3.912.tgz] FULLPKGNAME = verilator-3.912p3 Avoided depends for bison-3.8.2 gmake-4.4.1 (Junk lock obtained for exopi-5 at 1714016515.10) Don't run junk because nojunk in x11/qt6/qtspeech (Junk lock released for exopi-5 at 1714016515.10) distfiles size=2052609 >>> Running patch in lang/verilator at 1714016515.17 ===> lang/verilator ===> Building from scratch verilator-3.912p3 ===> verilator-3.912p3 depends on: bison-* -> bison-3.8.2 ===> verilator-3.912p3 depends on: gmake-* -> gmake-4.4.1 ===> Verifying specs: c m c++ c++abi pthread ===> found c.100.0 m.10.1 c++.10.0 c++abi.7.0 pthread.27.1 ===> Checking files for verilator-3.912p3 `/exopi-cvs/ports/distfiles/verilator-3.912.tgz' is up to date. >> (SHA256) verilator-3.912.tgz: OK ===> Extracting for verilator-3.912p3 ===> Patching for verilator-3.912p3 ===> Applying OpenBSD patch patch-include_verilatedos_h Hmm... Looks like a unified diff to me... The text leading up to this was: -------------------------- |__builtin_unreachable() available with gcc>=4.5 | |Index: include/verilatedos.h |--- include/verilatedos.h.orig |+++ include/verilatedos.h -------------------------- Patching file include/verilatedos.h using Plan A... Hunk #1 succeeded at 46. done ===> Applying OpenBSD patch patch-src_V3EmitCSyms_cpp Hmm... Looks like a unified diff to me... The text leading up to this was: -------------------------- |Index: src/V3EmitCSyms.cpp |--- src/V3EmitCSyms.cpp.orig |+++ src/V3EmitCSyms.cpp -------------------------- Patching file src/V3EmitCSyms.cpp using Plan A... Hunk #1 succeeded at 329. Hunk #2 succeeded at 432. done ===> Applying OpenBSD patch patch-src_V3EmitC_cpp Hmm... Looks like a unified diff to me... The text leading up to this was: -------------------------- |Index: src/V3EmitC.cpp |--- src/V3EmitC.cpp.orig |+++ src/V3EmitC.cpp -------------------------- Patching file src/V3EmitC.cpp using Plan A... Hunk #1 succeeded at 1904. done ===> Applying OpenBSD patch patch-src_bisonpre Hmm... Looks like a unified diff to me... The text leading up to this was: -------------------------- |Index: src/bisonpre |--- src/bisonpre.orig |+++ src/bisonpre -------------------------- Patching file src/bisonpre using Plan A... Hunk #1 succeeded at 325. done ===> Applying OpenBSD patch patch-src_verilog_y Hmm... Looks like a unified diff to me... The text leading up to this was: -------------------------- |Fix build with Bison 3.7 and newer |https://github.com/verilator/verilator/commit/aa39d020d88dd1d5beb3810cf551ff879b7f88a4 | |Index: src/verilog.y |--- src/verilog.y.orig |+++ src/verilog.y -------------------------- Patching file src/verilog.y using Plan A... Hunk #1 succeeded at 207. done ===> Compiler link: clang -> /usr/bin/clang ===> Compiler link: clang++ -> /usr/bin/clang++ ===> Compiler link: cc -> /usr/bin/cc ===> Compiler link: c++ -> /usr/bin/c++ >>> Running configure in lang/verilator at 1714016516.56 ===> lang/verilator ===> Generating configure for verilator-3.912p3 ===> Configuring for verilator-3.912p3 Using /exopi-obj/pobj/verilator-3.912/config.site (generated) configure: WARNING: unrecognized options: --disable-silent-rules, --disable-gtk-doc configure: loading site script /exopi-obj/pobj/verilator-3.912/config.site configuring for Verilator 3.912 2017-09-23 checking whether to use hardcoded paths... yes checking whether to show and stop on compilation warnings... no checking whether to run long tests... no checking for gcc... cc checking whether the C compiler works... yes checking for C compiler default output file name... a.out checking for suffix of executables... checking whether we are cross compiling... no checking for suffix of object files... (cached) o checking whether we are using the GNU C compiler... (cached) yes checking whether cc accepts -g... (cached) yes checking for cc option to accept ISO C89... none needed checking whether we are using the GNU C++ compiler... (cached) yes checking whether c++ accepts -g... (cached) yes checking for a BSD-compatible install... /exopi-obj/pobj/verilator-3.912/bin/install -c checking that C++ compiler can compile simple program... yes checking for perl... /usr/bin/perl checking for flex... /usr/bin/flex checking for bison... /usr/local/bin/bison checking how to run the C++ preprocessor... c++ -E checking for grep that handles long lines and -e... (cached) /usr/bin/grep checking for egrep... (cached) /usr/bin/egrep checking for ANSI C header files... (cached) yes checking for sys/types.h... (cached) yes checking for sys/stat.h... (cached) yes checking for stdlib.h... (cached) yes checking for string.h... (cached) yes checking for memory.h... (cached) yes checking for strings.h... (cached) yes checking for inttypes.h... (cached) yes checking for stdint.h... (cached) yes checking for unistd.h... (cached) yes checking for size_t... (cached) yes checking for size_t... (cached) yes checking for inline... inline checking whether c++ accepts -Wextra... yes checking whether c++ accepts -Wlogical-op... no checking whether c++ accepts -Qunused-arguments... yes checking whether c++ accepts -faligned-new... yes checking whether c++ accepts -fno-delete-null-pointer-checks... yes checking whether c++ accepts -Wno-unused-parameter... yes checking whether c++ accepts -Wno-undefined-bool-conversion... yes checking whether c++ accepts -Wno-shadow... yes checking whether c++ accepts -Wno-char-subscripts... yes checking whether c++ accepts -Wno-null-conversion... yes checking whether c++ accepts -Wno-parentheses-equality... yes checking whether c++ accepts -Wno-unused... yes checking whether c++ accepts -faligned-new... yes checking whether c++ accepts -fbracket-depth=4096... yes checking whether c++ accepts -Qunused-arguments... yes checking whether c++ accepts -Wno-parentheses-equality... yes checking whether c++ accepts -Wno-sign-compare... yes checking whether c++ accepts -Wno-uninitialized... yes checking whether c++ accepts -Wno-unused-but-set-variable... yes checking whether c++ accepts -Wno-unused-parameter... yes checking whether c++ accepts -Wno-unused-variable... yes checking whether c++ accepts -Wno-shadow... yes configure: creating ./config.status config.status: creating Makefile config.status: creating src/Makefile config.status: creating src/Makefile_obj config.status: creating include/verilated.mk config.status: creating include/verilated_config.h config.status: creating verilator.pc config.status: creating src/config_build.h config.status: src/config_build.h is unchanged configure: WARNING: unrecognized options: --disable-silent-rules, --disable-gtk-doc Now type 'make' (or sometimes 'gmake') to build Verilator. >>> Running build in lang/verilator at 1714016525.20 ===> lang/verilator ===> Building for verilator-3.912p3 ------------------------------------------------------------ making verilator in src gmake[1]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src' mkdir obj_dbg cd obj_dbg && gmake -j 1 TGT=../../verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' /usr/bin/perl ../astgen -I.. --classes If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/local/bin/bison -d -v -o V3ParseBison.c ../verilog.y edit ../verilog.y V3ParseBison_pretmp.y /usr/local/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' cd obj_dbg && gmake TGT=../../verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' Compile flags: c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator/" c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../Verilator.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Active.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3ActiveTop.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Assert.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3AssertPre.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Ast.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3AstNodes.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Begin.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Branch.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Broken.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3CCtors.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Case.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Cast.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Cdc.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Changed.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Clean.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3ClkGater.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Clock.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Combine.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Config.cpp /usr/bin/perl ../astgen -I.. V3Const.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c V3Const__gen.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Coverage.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3CoverageJoin.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Dead.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Delayed.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Depth.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3DepthBlock.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Descope.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitC.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitCInlines.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitCSyms.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitMk.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitV.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitXml.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Error.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Expand.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3File.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3FileLine.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Gate.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GenClk.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Graph.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphAlg.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphAcyc.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphDfa.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphTest.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Hashed.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Inline.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Inst.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Life.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LifePost.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkCells.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkDot.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkJump.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkLValue.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkLevel.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkParse.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkResolve.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Localize.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Name.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Number.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Options.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Order.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Os.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Param.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3PreShell.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Premit.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Scope.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Slice.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Split.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3SplitAs.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Stats.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3StatsReport.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3String.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Subst.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Table.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Task.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Trace.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3TraceDecl.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Tristate.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Undriven.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Unknown.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Unroll.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Width.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3WidthSel.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3ParseImp.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3ParseGrammar.cpp /usr/bin/flex --version flex 2.5.39 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3ParseLex.cpp /usr/bin/flex --version flex 2.5.39 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3PreProc.cpp Linking ../../verilator_bin_dbg... rm -rf ../../verilator_bin_dbg ../../verilator_bin_dbg.exe c++ -L/lib -o ../../verilator_bin_dbg Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Clean.o V3ClkGater.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3PreShell.o V3Premit.o V3Scope.o V3Slice.o V3Split.o V3SplitAs.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lm -lstdc++ Verilator.cpp(Verilator.o:(V3Global::debugFilename(std::__1::basic_string, std::__1::allocator> const&, int))): warning: sprintf() is often misused, please use snprintf() V3File.cpp(V3File.o:(V3OutFormatter::printf(char const*, ...))): warning: vsprintf() is often misused, please use vsnprintf() gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' cd obj_dbg && gmake TGT=../../verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' /usr/bin/perl ../vlcovgen --srcdir .. touch vlcovgen.d gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' cd obj_dbg && gmake TGT=../../verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' Compile flags: c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator/" c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../VlcMain.cpp Linking ../../verilator_coverage_bin_dbg... rm -rf ../../verilator_coverage_bin_dbg ../../verilator_coverage_bin_dbg.exe c++ -L/lib -o ../../verilator_coverage_bin_dbg VlcMain.o -lm -lstdc++ gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' mkdir obj_opt cd obj_opt && gmake -j 1 TGT=../../verilator_bin -f ../Makefile_obj serial gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' /usr/bin/perl ../astgen -I.. --classes If you get errors from verilog.y below, try upgrading bison to version 1.875 or newer. /usr/bin/perl ../bisonpre --yacc /usr/local/bin/bison -d -v -o V3ParseBison.c ../verilog.y edit ../verilog.y V3ParseBison_pretmp.y /usr/local/bin/bison -d -v --report=itemset --report=lookahead -b V3ParseBison_pretmp -o V3ParseBison_pretmp.c V3ParseBison_pretmp.y edit V3ParseBison_pretmp.output V3ParseBison.output edit V3ParseBison_pretmp.c V3ParseBison.c edit V3ParseBison_pretmp.h V3ParseBison.h gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' cd obj_opt && gmake TGT=../../verilator_bin -f ../Makefile_obj gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' Compile flags: c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator/" c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../Verilator.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Active.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3ActiveTop.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Assert.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3AssertPre.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Ast.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3AstNodes.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Begin.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Branch.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Broken.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3CCtors.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Case.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Cast.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Cdc.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Changed.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Clean.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3ClkGater.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Clock.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Combine.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Config.cpp /usr/bin/perl ../astgen -I.. V3Const.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c V3Const__gen.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Coverage.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3CoverageJoin.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Dead.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Delayed.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Depth.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3DepthBlock.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Descope.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitC.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitCInlines.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitCSyms.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitMk.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitV.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3EmitXml.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Error.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Expand.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3File.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3FileLine.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Gate.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GenClk.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Graph.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphAlg.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphAcyc.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphDfa.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3GraphTest.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Hashed.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Inline.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Inst.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Life.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LifePost.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkCells.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkDot.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkJump.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkLValue.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkLevel.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkParse.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3LinkResolve.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Localize.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Name.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Number.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Options.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Order.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Os.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Param.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3PreShell.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Premit.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Scope.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Slice.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Split.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3SplitAs.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Stats.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3StatsReport.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3String.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Subst.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Table.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Task.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Trace.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3TraceDecl.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Tristate.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Undriven.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Unknown.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Unroll.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3Width.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -c ../V3WidthSel.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3ParseImp.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3ParseGrammar.cpp /usr/bin/flex --version flex 2.5.39 /usr/bin/flex -d -oV3Lexer_pregen.yy.cpp ../verilog.l /usr/bin/perl ../flexfix V3Lexer V3Lexer.yy.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3ParseLex.cpp /usr/bin/flex --version flex 2.5.39 /usr/bin/flex -d -oV3PreLex_pregen.yy.cpp ../V3PreLex.l /usr/bin/perl ../flexfix V3PreLex V3PreLex.yy.cpp c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC=\"\" -DDEFENV_SYSTEMC_ARCH=\"\" -DDEFENV_SYSTEMC_INCLUDE=\"\" -DDEFENV_SYSTEMC_LIBDIR=\"\" -DDEFENV_VERILATOR_ROOT=\"/usr/local/share/verilator/\" -Wno-char-subscripts -Wno-null-conversion -Wno-parentheses-equality -Wno-unused -c ../V3PreProc.cpp Linking ../../verilator_bin... rm -rf ../../verilator_bin ../../verilator_bin.exe c++ -L/lib -o ../../verilator_bin Verilator.o V3Active.o V3ActiveTop.o V3Assert.o V3AssertPre.o V3Ast.o V3AstNodes.o V3Begin.o V3Branch.o V3Broken.o V3CCtors.o V3Case.o V3Cast.o V3Cdc.o V3Changed.o V3Clean.o V3ClkGater.o V3Clock.o V3Combine.o V3Config.o V3Const__gen.o V3Coverage.o V3CoverageJoin.o V3Dead.o V3Delayed.o V3Depth.o V3DepthBlock.o V3Descope.o V3EmitC.o V3EmitCInlines.o V3EmitCSyms.o V3EmitMk.o V3EmitV.o V3EmitXml.o V3Error.o V3Expand.o V3File.o V3FileLine.o V3Gate.o V3GenClk.o V3Graph.o V3GraphAlg.o V3GraphAcyc.o V3GraphDfa.o V3GraphTest.o V3Hashed.o V3Inline.o V3Inst.o V3Life.o V3LifePost.o V3LinkCells.o V3LinkDot.o V3LinkJump.o V3LinkLValue.o V3LinkLevel.o V3LinkParse.o V3LinkResolve.o V3Localize.o V3Name.o V3Number.o V3Options.o V3Order.o V3Os.o V3Param.o V3PreShell.o V3Premit.o V3Scope.o V3Slice.o V3Split.o V3SplitAs.o V3Stats.o V3StatsReport.o V3String.o V3Subst.o V3Table.o V3Task.o V3Trace.o V3TraceDecl.o V3Tristate.o V3Undriven.o V3Unknown.o V3Unroll.o V3Width.o V3WidthSel.o V3ParseImp.o V3ParseGrammar.o V3ParseLex.o V3PreProc.o -lm -lstdc++ Verilator.cpp(Verilator.o:(V3Global::debugFilename(std::__1::basic_string, std::__1::allocator> const&, int))): warning: sprintf() is often misused, please use snprintf() V3File.cpp(V3File.o:(V3OutFormatter::printf(char const*, ...))): warning: vsprintf() is often misused, please use vsnprintf() gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' gmake[1]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src' Build complete! Type 'make test' to test. >>> Running fake in lang/verilator at 1714017628.44 ===> lang/verilator ===> Faking installation for verilator-3.912p3 ------------------------------------------------------------ making verilator in src gmake[1]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src' cd obj_dbg && gmake -j 1 TGT=../../verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj serial gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' gmake[2]: Nothing to be done for 'serial'. gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' cd obj_dbg && gmake TGT=../../verilator_bin_dbg VL_DEBUG=1 -f ../Makefile_obj gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' Compile flags: c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator/" gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' cd obj_dbg && gmake TGT=../../verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj serial_vlcov gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' gmake[2]: Nothing to be done for 'serial_vlcov'. gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' cd obj_dbg && gmake TGT=../../verilator_coverage_bin_dbg VL_DEBUG=1 VL_VLCOV=1 -f ../Makefile_obj gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' Compile flags: c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator/" gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_dbg' cd obj_opt && gmake -j 1 TGT=../../verilator_bin -f ../Makefile_obj serial gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' gmake[2]: Nothing to be done for 'serial'. gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' cd obj_opt && gmake TGT=../../verilator_bin -f ../Makefile_obj gmake[2]: Entering directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' Compile flags: c++ -I/usr/local/include -MMD -I. -I.. -I.. -I../../include -DYYDEBUG -O2 -pipe -MP -Qunused-arguments -faligned-new -fno-delete-null-pointer-checks -Wno-unused-parameter -Wno-undefined-bool-conversion -Wno-shadow -DDEFENV_SYSTEMC="" -DDEFENV_SYSTEMC_ARCH="" -DDEFENV_SYSTEMC_INCLUDE="" -DDEFENV_SYSTEMC_LIBDIR="" -DDEFENV_VERILATOR_ROOT="/usr/local/share/verilator/" gmake[2]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src/obj_opt' gmake[1]: Leaving directory '/exopi-obj/pobj/verilator-3.912/verilator-3.912/src' /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin ( cd ./bin ; /exopi-obj/pobj/verilator-3.912/bin/install -c -s -m 755 verilator /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/verilator ) strip: error: '/exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/INS@ZBaXf6BHro': The file was not recognized as a valid object file ( cd ./bin ; /exopi-obj/pobj/verilator-3.912/bin/install -c -s -m 755 verilator_coverage /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/verilator_coverage ) strip: error: '/exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/INS@SDMrsJpxYK': The file was not recognized as a valid object file ( cd ./bin ; /exopi-obj/pobj/verilator-3.912/bin/install -c -s -m 755 verilator_profcfunc /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/verilator_profcfunc ) strip: error: '/exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/INS@Y22mP9ZXCI': The file was not recognized as a valid object file ( /exopi-obj/pobj/verilator-3.912/bin/install -c -s -m 755 verilator_bin /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/verilator_bin ) ( /exopi-obj/pobj/verilator-3.912/bin/install -c -s -m 755 verilator_bin_dbg /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/verilator_bin_dbg ) ( /exopi-obj/pobj/verilator-3.912/bin/install -c -s -m 755 verilator_coverage_bin_dbg /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/bin/verilator_coverage_bin_dbg ) /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/bin mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/bin ( cd ./bin ; /exopi-obj/pobj/verilator-3.912/bin/install -c -s -m 755 verilator_includer /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/bin/verilator_includer ) strip: error: '/exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/bin/INS@j2fA56TMhf': The file was not recognized as a valid object file pod2man bin/verilator verilator.1 pod2man bin/verilator_coverage verilator_coverage.1 pod2man bin/verilator_profcfunc verilator_profcfunc.1 /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/man/man1 cd . \ ; for p in verilator.1 verilator_coverage.1 verilator_profcfunc.1 ; do \ /exopi-obj/pobj/verilator-3.912/bin/install -c -m 644 $p /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/man/man1/$p; \ done /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/include/vltstd mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/include mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/include/vltstd for p in include/verilated_config.h include/verilated.mk ; do \ /exopi-obj/pobj/verilator-3.912/bin/install -c -m 644 $p /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/$p; \ done cd . \ ; for p in include/*.[chv]* include/vltstd/*.[chv]* ; do \ /exopi-obj/pobj/verilator-3.912/bin/install -c -m 644 $p /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/$p; \ done /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples/test_c mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples/test_c /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples/test_sc mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples/test_sc /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples/test_v mkdir /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples/test_v cd . \ ; for p in test_v/*.[chv]* test_c/*.[chv]* test_c/Makefile test_c/Makefile_obj test_sc/*.[chv]* test_sc/Makefile test_sc/Makefile_obj ; do \ /exopi-obj/pobj/verilator-3.912/bin/install -c -m 644 $p /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/verilator/examples/$p; \ done /bin/sh ./mkinstalldirs /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/pkgconfig /exopi-obj/pobj/verilator-3.912/bin/install -c -m 644 verilator.pc /exopi-obj/pobj/verilator-3.912/fake-amd64/usr/local/share/pkgconfig Installed! For documentation see 'man verilator' or 'verilator --help' For forums and to report bugs see http://www.veripool.org/verilator >>> Running package in lang/verilator at 1714017632.75 ===> lang/verilator `/exopi-obj/pobj/verilator-3.912/fake-amd64/.fake_done' is up to date. ===> Building package for verilator-3.912p3 Create /exopi-cvs/ports/packages/amd64/all/verilator-3.912p3.tgz Creating package verilator-3.912p3 reading plist| checking dependencies| checksumming| checksumming| | 0% checksumming|* | 1% checksumming|** | 3% checksumming|*** | 4% checksumming|**** | 6% checksumming|***** | 7% checksumming|***** | 9% checksumming|****** | 10% checksumming|******* | 12% checksumming|******** | 13% checksumming|********* | 15% checksumming|********** | 16% checksumming|*********** | 18% checksumming|************ | 19% checksumming|************* | 21% checksumming|************** | 22% checksumming|*************** | 24% checksumming|*************** | 25% checksumming|**************** | 27% checksumming|***************** | 28% checksumming|****************** | 30% checksumming|******************* | 31% checksumming|******************** | 33% checksumming|********************* | 34% checksumming|********************** | 36% checksumming|*********************** | 37% checksumming|************************ | 39% checksumming|************************* | 40% checksumming|************************* | 42% checksumming|************************** | 43% checksumming|*************************** | 45% checksumming|**************************** | 46% checksumming|***************************** | 48% checksumming|****************************** | 49% checksumming|******************************* | 51% checksumming|******************************** | 52% checksumming|********************************* | 54% checksumming|********************************** | 55% checksumming|*********************************** | 57% checksumming|************************************ | 58% checksumming|************************************ | 60% checksumming|************************************* | 61% checksumming|************************************** | 63% checksumming|*************************************** | 64% checksumming|**************************************** | 66% checksumming|***************************************** | 67% checksumming|****************************************** | 69% checksumming|******************************************* | 70% checksumming|******************************************** | 72% checksumming|********************************************* | 73% checksumming|********************************************** | 75% checksumming|********************************************** | 76% checksumming|*********************************************** | 78% checksumming|************************************************ | 79% checksumming|************************************************* | 81% checksumming|************************************************** | 82% checksumming|*************************************************** | 84% checksumming|**************************************************** | 85% checksumming|***************************************************** | 87% checksumming|****************************************************** | 88% checksumming|******************************************************* | 90% checksumming|******************************************************** | 91% checksumming|******************************************************** | 93% checksumming|********************************************************* | 94% checksumming|********************************************************** | 96% checksumming|*********************************************************** | 97% checksumming|************************************************************ | 99% checksumming|*************************************************************|100% archiving| archiving| | 0% archiving|************ | 19% archiving|************************* | 38% archiving|***************************** | 46% archiving|****************************************** | 65% archiving|****************************************************** | 84% archiving|*********************************************************** | 92% archiving|*********************************************************** | 93% archiving|************************************************************ | 94% archiving|************************************************************* | 96% archiving|************************************************************** | 96% archiving|************************************************************** | 97% archiving|************************************************************** | 98% archiving|*************************************************************** | 98% archiving|*************************************************************** | 99% archiving|****************************************************************| 99% archiving|****************************************************************|100% Link to /exopi-cvs/ports/packages/amd64/ftp/verilator-3.912p3.tgz >>> Running clean in lang/verilator at 1714017635.53 ===> lang/verilator ===> Cleaning for verilator-3.912p3 >>> Ended at 1714017636.01 max_stuck=19.64/patch=1.43/configure=8.63/build=1103.23/fake=4.32/package=2.77/clean=0.52